Verilog裡面如何初始化陣列才能被Quartus II綜

時間 2021-10-15 00:22:10

1樓:糖糖寳寳

一般的綜合如果不在意上電時的值,編譯器會向著面積最小的方向綜合,那樣綜合後訊號的初始值是不確定的。

module test(

input wire rst_n // reset, active low

,input wire clk

//// add other inputs and outputs here

//);

integer k;

parameter n = 10; // set the value of n here

reg signed [20:0] e[0:n-1];

always @(posedge clk or negedge rst_n) begin

if(~rst_n) begin

for(k=0;k

endelse begin

// change the value of e here normally

endend

endmodule

2樓:匿名使用者

reg [7:0] conval[15:0]表示定義(或者叫宣告)了一個名為conval的儲存器,該儲存器一共包含16個暫存器,每個暫存器可以儲存一個8位的二進位制數。

在verilog中,每次只能對儲存器中的某個暫存器賦值,不能一次性對整個儲存器賦值。

例如你可以寫conval[9]<=8'hff,但不能寫conval[15:0]<=……

所以,樓主如果真要給儲存器conval賦初值,也只能一個暫存器一個暫存器地賦了。

另外需要再說明的是:verilog不支援對儲存器進行位訪問(或者叫位定址)。

例如你寫medied<=conval[9][3],是不對的。

——medied.lee

3樓:匿名使用者

always@(negedge rst)

begin

if(!rst)

conval=16'h***x;

..........end

4樓:

用parameter進行初始化

quartus ii的編譯器對verilog檔案中的乘法和除法運算子是否可以進行綜合?

5樓:匿名使用者

quartus ii的編譯器對verilog檔案中的乘法和除法運算子是可以進行綜合的,這些運算子綜合後生成的rtl門級的乘專法和除法電路就

屬是alteraip核所提供的乘法和除法電路,而megaweizard中的進行設定的乘除法運算的模式其實也就是呼叫了這些ip核,所以這些運算子所需要的資源其實主要是跟你的verilog**中的描述相關的。

所以如果你根據你要進行的運算對於由megaweizard中生成的乘法和除法器檔案,進行組合得到你想要的整個運算系統,你會發現由verilog**描述的運算電路說需要的資源和由使用megaweizard生成的乘法和除法器組合而成的運算電路,他們所需要的資源幾乎是相同的,不相同的應該也只是所使用到的暫存器的數量有些許差別,不知道幫到你沒有

如何用quartus ii對用verilog hdl語言編寫的原始碼進行**

6樓:匿名使用者

儲存為module一樣的檔名後點processing裡面的start compilation就可以了。

7樓:匿名使用者

quartus ii 是fpga 綜合工具 ,不是**工具

**用modelsim

如何初始化bios設定,如何初始化BIOS設定

1 開機時,當計算機的第一個螢幕資訊出現時,根據螢幕底部的資訊提示,如 按 del enter bios 按 f1 執行安裝程式 等資訊,按相應的鍵進入 bios 程式介面。2 在 bios 介面中,將游標移動到 載入優化的預設值 項,然後按 enter。3 然後在彈出視窗中選擇 確定 然後按 en...

如何將電腦系統初始化

嗨格式 電腦太卡想要恢復出廠設定,該怎麼操作? 雪v歌 1 按下開機按鈕,此時電源向主機板供電,電源向cpu發出reset訊號,如果手工按下reset按鈕,cpu也會收到這個訊號。cpu得到這個訊號後,自動清除本身暫存器中的所有內容。之後,電源取消這個訊號 或你的手離開reset鈕 電源向主機板發出...

世嘉清洗節氣門後如何初始化

曉龍老師 東風雪鐵龍世嘉清洗節氣門後初始化,需要將東風雪鐵龍世嘉發動機啟動,接通東風雪鐵龍世嘉全車的電子系統,節氣門是控制空氣進入發動機的一道可控閥門,氣體進入進氣管後會和汽油混合變成可燃混合氣,從而燃燒形成做功,它上接空氣濾清器,下接發動機缸體 東風雪鐵龍世嘉清洗節氣門後初始化具體的操作步驟如下 ...