用VHDL語言編寫七段顯示譯碼器,輸入埠D輸入0 9二進位制

時間 2021-10-15 00:22:10

1樓:匿名使用者

共陰極數碼管:

library ieee;

use ieee.std_logic_1164.all;

entity seven_seg is

port(

d :in std_logic_vector(3 downto 0); --4 bits latch input

x :out std_logic_vector(6 downto 0); --to seven segment

);end seven_seg;

architecture arch_seven_seg of seven_seg is

begin

process(d)

begin

case d is

when "0000"=> x <="1111110"; --0 number to show

when "0001"=> x <="0110000"; --1

when "0010"=> x <="1101101"; --2

when "0011"=> x <="1111001"; --3

when "0100"=> x <="0110011"; --4

when "0101"=> x <="1011011"; --5

when "0110"=> x <="1011111"; --6

when "0111"=> x <="1110000"; --7

when "1000"=> x <="1111111"; --8

when "1001"=> x <="1111011"; --9

when others=> x <=(others => '0');

end case;

end process;

end arch_seven_seg;

2樓:懂事電子設計

vhdl的嗎?我這有共陽極的。

用vhdl語言設計一個七段顯示譯碼器電路,要求輸出訊號為高電平有效, 能驅動共陰極數碼管。

用vhdl語言編 七段數碼管顯示譯碼器(用並行語句)

3樓:匿名使用者

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity ymq is

port

(num:in std_logic_vector(3 downto 0);

dout:out std_logic_vector(6 downto 0)

);end ymq;

architecture a1 of ymq isbegin

with num select

dout<="1111110" when "0000","0110000" when "0001","1101101" when "0010","1111001" when "0011","0110011" when "0100","1011011" when "0101","1011111" when "0110","1110000" when "0111","1111111" when "1000","1111011" when "1001","0000000" when others;

end a1;

如何用vhdl語言設計一個七段顯示譯碼器電路?

使用vhdl的case語句,設計七段譯碼器程式,

哪位大神幫我用vhdl語言設計一個輸出低電平有效的4-7線顯示譯碼器?謝謝!!!!!!! 25

4樓:欲語

下面是74ls49的vhdl描述,74ls49就是一個驅動共陰極數碼管的譯碼器: library ieee; use ieee.std_logic_1164.

all; entity ls49 is port(bl_n:in ...

用VHDL實現分頻,VHDL編寫分頻器

模n計數器的實現 一般設計中用到計數器時,我們可以呼叫lpm庫中的計數器模組,也可以採用vhdl語言自己設計一個模n計數器。本設計採用vhdl語言設計一個最大模值為16的計數器。輸入埠為 使能訊號en,復位訊號clr和時鐘訊號clk 輸出埠為 qa qb qc qd。其vhdl語言描述略。帶使能控制...

VHDL編寫分頻器,用VHDL編寫分頻器程式

library ieee use ieee.std logic 1164.all entity onemhz is port clkin in std logic 時鐘訊號輸入 clkout out std logic 時鐘訊號輸出end onemhz architecture aronemhz o...

用c語言編寫簡單計算器程式,用c語言編寫一個簡單計算器程式

問明 include stdio h 計算器 voidmenu 自定義的選單介面 printf n printf 請輸入你的選擇 n printf 1 n printf 2 n printf 3 n printf 4 n printf n intmain inti 0 intj 0 intnum 0...